admin-ftian

5 апреля 2022 в 15-00

Механизмы формирования наклона кристаллографических осей в эпитаксиальных гетероструктурах

Докладчик  – П.Б. Можаев  (ФТИАН им. К. А. Валиева РАН) 

Транзисторные Fin и NW-структуры на подложках SOI.  Основа нового поколения наноразмерных транзисторов с затвором GAA

Авторы: Руденко К.В., Вьюрков В.В., Рогожин А.Е., Мяконьких А.В., Татаринцев А.А.

Транзисторные Fin и NW-структуры на подложках SOI.  Основа нового поколения наноразмерных транзисторов с затвором GAA

Разработана технология наноразмерных кремниевых Fin-структур и нанопроводов с критическим размером менее 10 нм. Технология успешно перенесена с объемных Si подложек на подложки КНИ. Достигнуты рекордные параметры размеров нанопроводов: сечение 8х50 нм и длина 200 нм (литографический размер – 10 нм). Технология воспроизводима и позволила создать топологически упорядоченные массивы нанопроводов до 10000 штук. Прецизионный метод удаления поверхностного слоя 1-2 нм кремния с дефектами кристаллической структуры в Si-нанопроводниках, возникшими в ходе плазмохимического травления, позволил в 105 раз увеличить проводимость Si – нанопроводников, исключив механизмы рассеяния носителей на поверхностных дефектах.

 

  1. Vyurkov V.V., Khabutdinov R.R., Nemtsov A.B., Semenikhin I.A., Rudenko M.K., Rudenko K.V., Lukichev V.F. Analytic Model of Transit-Time Diodes and Transistors for the Generation and Detection of THz Radiation // Russian Microelectronics, 2018, 47(5), pp. 290-298.
  2. Miakonkikh A.V., Orlikovskiy N.A., Rogozhin A.E., Tatarintsev A.A., Rudenko K.V. Dependence of the Resistance of the Negative e-Beam Resist HSQ Versus the Dose in the RIE and Wet Etching Processes //Russian Microelectronics, 2018, 47(3), pp. 157-164.
  3. А.В. Мяконьких, А.А. Татаринцев, К.В. Руденко. Электронная литография и анизотропное плазмохимическое травление кремниевых FIN-структур для FINFET и SiNW транзисторов с размерами 11–22 нм // Труды ФТИАН. T. 27. Квантовые компьютеры, микро- и наноэлектроника: физика, технология, диагностика и моделирование. С. 59-65. ISBN. 978-5-02-040089-4

 

Сравнительное исследование ICP плазмы газов CF4, CBrF3, C2Br2F4

Авторы из ФТИАН им. К.А. Валиева РАН: В. О. Кузьменко, А. В. Мяконьких, К. В. Руденко

Результат получен совместно с Ивановским Государственным Химико-Технологическим Университетом (А. М. Ефремов)

Применение диэлектриков с ультранизкой диэлектрической проницаемостью в системе металлизации современных интегральных схем требует низкоповреждающего процесса травления диэлектрика для сохранения низкого значения диэлектрической проницаемости в процессе создания структуры межсоединений. В представленной работе работе изучен подход к реализации низкоповреждающего процесса травления в индуктивно-связанной плазме фторбромуглеродных газов, предложеный ранее [1], в сравнении с плазмой CF4. Были исследованы параметры фторбромуглеродных плазм в различных установках с реакторами с индуктивно-связанной плазмой [2], [3]. С помощью зонда Ленгмюра были определены значения электронных температур, концентраций электронов и положительных ионов в типичных для процесса травления условиях. Воздействие радикалов фтора обеспечивают химический механизм травления, но ведет к деградации кремнийсодержащих диэлектрических плёнок, поэтому концентрации радикалов фтора были определены в сравнении с концентрациями радикалов брома. Для определения концентраций радикалов использовался метод оптической эмиссионной актинометрии. Экспериментальные данные были подтверждены моделированием плазмы CF4.

Такая работа для ICP плазмы газов CBrF3 и C2Br2F4 была выполнена первые.

Сравнительное исследование ICP плазмы газов CF4, CBrF3, C2Br2F4

Концентрации атомных радикалов фтора и брома (a, b), параметры, характеризующие баланс между физическим и химическим механизмами травления (c, d) в зависимости от давления в реакторе. Пунктирная линия на Рис. a) соответствует предсказанным моделью значениям для плазмы CF4

 

  1. Rezvanov, A. V. Miakonkikh, A. S. Vishnevskiy, K. V. Rudenko and M. R. Baklanov, Cryogenic etching of porous low-k dielectrics in CF3Br and CF4 plasmas, J. Vac. Sci. Technol. B 35(2), 021204. 2017
  2. O. Kuzmenko and A. V. Miakonkikh, Low-Pressure Inductively Coupled CF3Br Plasma Studied by the Langmuir Probe and Optical Emission Spectroscopy Techniques, Technical Physics Letters Vol. 47, No. 1, pp. 99–102. 2021
  3. Miakonkikh, V. Kuzmenko, A. Efremov and K. Rudenko, A comparison of CF4, CBrF3 and C2Br2F4 plasmas: Physical parameters and densities of atomic species, Vacuum Vol. 200, 110991. 2022

15:00   А. А. Дедкова (МИЭТ)
Комплекс методик для оптического исследования рельефа и механических характеристик тонкопленочных структур (по материалам диссертации на соискание ученой степени кандидата технических наук)

Разработка технологии изготовления чувствительного элемента микрогироскопа для систем навигации

Совместно с Раменским приборостроительным КБ и ОАО «Инерциальные Технологии «Технокомплекса» проведены обширные исследования по разработке конструкции, технологии изготовления микрогироскопа на основе МЭМС технологии для современных систем навигации.

Кальнов В.А., Лукичев В.Ф., Амиров И.И., Саломатин А.К., Соловьев В.М., Соловьев Ю.В. Плазменные процессы глубокого травления кремния в технологии микросистемной техники // Всеросс.науч-практ.конф.”Навигация, наведение и управление летательными аппаратами”. – Тез.докл., – М.-Раменское, 20-21 сент.2012. – С.78-79.

Развитие технологий плазменных процессов формирования трехмерных микро-и наноструктур

Выполнены исследования в области разработки конструкции, математического моделирования и технологии изготовления изделий микросистемной техники. Разработаны маршруты изготовления на основе технологии формирования микроструктур с использованием плазмохимического циклического процесса (травление/пассивация) кремния во фторсодержащей плазме. Изучены условия получения высокоаспектных структур в кремнии, созданы экспериментальные образцы микроакселерометров и микрогироскопов для систем навигации нового поколения.

О.В. Морозов, А.В. Постников, И.И. Амиров, В.А. Кальнов. Технология изготовления микроэлектромеханических устройств на основе изолированных областей в пластине кремния. // Материалы 16 Международной научно-технической конференции «Высокие технологии в промышленности России» Москва. 2010.  9-11 сентября.С.205-210.

Патент на изобретение №2403647. Способ формирования электрически изолированных областей кремния в объеме кремниевой пластины. Зарегистрировано 10.10.2010.

Уваров И.В., Морозов О.В., Козин И.А., Постников А.В., Амиров И.И., Кальнов В.А. Динамические характеристики чувствительного элемента микрогироскопа с повышенным фактором демпфирования. // Микро- и наносистемная техника. 2011. №6.

Плазмохимические процессы травления высокоаспектных структур в кремнии

Проведены исследования глубокого анизотропного травления Si в циклическом, двухстадийном процессе в плазме SF6/C4F8 ВЧИ разряда (Bosch-процесс) для получения высокоаспектных микроструктур. Создан программный комплекс моделирования формирования таких структур, который позволяет определять влияние большинства технологических параметров на получение заданного профиля травления.

 

Плазмохимические процессы травления высокоаспектных структур в кремнии

 

Амиров И.И., Морозов О.В., Изюмов М.О., Кальнов В.А., Орликовский А.А., Валиев К.А.  Плазмохимическое травление глубоких канавок в кремнии с высоким аспектным отношением для создания различных элементов микромеханики. // Микросистемная техника. 2004. Т.12. С.15-18.

Амиров И.И., Алов Н.В. Формирование микроструктур на поверхности кремния во фторсодержащей плазме в циклическом процессе травление/пассивация. Химия высоких энергий. 2008. Т.41.№4. С.164-168.

Шумилов А.С., Амиров И.И. Моделирование формирования глубоких канавок в кремнии в плазмохимическом, циклическом травление/пассивация процессе // Микроэлектроника. 2007. Т.36. № 4. С. 295-305.

Шумилов С.А., Амиров И.И., Лукичев В.Ф. Моделирование эффектов формирования глубоких канавок в кремнии в плазмохимическом циклическом процессе. // Микроэлектроника. 2009. Т. 38. №6. С. 428-435.

Амиров И.И., Морозов О.В., Постников А.В., Кальнов В.А., Орликовский А.А., Валиев К.А. Плазменные процессы глубокого травления кремния в технологии микросистемной техники. Труды ФТИАН. Квантовые компьютеры, микро-наноэлектроника. М., Наука. 2009. Т. 20. С.159-174.

15:00  Н. А. Симонов (ФТИАН им. К. А. Валиева РАН)
Развитие математического аппарата модели пятен для представления и обработки неточной, качественной и смысловой информации